Welcome![Sign In][Sign Up]
Location:
Search - I2C VHDL

Search list

[VHDL-FPGA-Verilogflash_read_and_write

Description: 适用于满足I2C协议的flash读/写操作程序,只需要设置要读/写的字节数,就可以直接使用!-Applicable to meet the I2C protocol flash read/write operations, only need to set to read/write number of bytes can be used directly!
Platform: | Size: 3072 | Author: xiaoyuer | Hits:

[VHDL-FPGA-Verilogan486_design_example

Description: VHDL实现SPI接口转I2c接口的源代码,可以直接调用-VHDL realize I2C interface SPI interface to the source code, you can directly call
Platform: | Size: 370688 | Author: zw | Hits:

[VHDL-FPGA-VerilogI2C_Controller

Description: I2C控制器的源代码,Verilog HDL语言编写,可以直接调用-I2C controller source code, Verilog HDL language, you can directly call
Platform: | Size: 2048 | Author: zw | Hits:

[VHDL-FPGA-VerilogI2C_auto_config

Description: 这是一个用VHDL语言描述的I2C自动配置模块,使用了来自opencores.org的I2C核,已在altera的cyclone芯片上调试通过-This is a VHDL language used to describe auto-configuration of the I2C module, the use of the I2C from opencores.org nucleus, the cyclone in the altera-chip debugging through
Platform: | Size: 7168 | Author: wgy | Hits:

[VHDL-FPGA-VerilogFPGAVHDLexample

Description: 是FPGA应用的好列子,本人经过测试的,有USB的I2C的,还有一些经常用的程序,是初学和参考的好帮手-FPGA application is a good Liezi, I tested, there are USB-I2C, and there are some frequently used procedures, is a good beginner and reference help
Platform: | Size: 4098048 | Author: 王苗 | Hits:

[Communication-Mobileiic_vhdl

Description: iic总线控制器VHDL实现 -- VHDL Source Files: i2c.vhd -- top level file i2c_control.vhd -- control function for the I2C master/slave shift.vhd -- shift register uc_interface.vhd -- uC interface function for an 8-bit 68000-like uC upcnt4.vhd -- 4-bit up counter i2c_timesim.vhd -- post-route I2C simulation netlist -IIC bus controller VHDL realize- VHDL Source Files: i2c.vhd- top level file i2c_control.vhd- control function for the I2C master/slave shift.vhd- shift register uc_interface.vhd- uC interface function for an 8-bit 68000-like uC upcnt4.vhd- 4-bit up counter i2c_timesim.vhd- post-route I2C simulation netlist
Platform: | Size: 889856 | Author: benny | Hits:

[VHDL-FPGA-Verilogi2c

Description: 基于EPM1270的EProm at24c02 驱动-Based on the EPM1270
Platform: | Size: 69632 | Author: cx | Hits:

[VHDL-FPGA-Verilogi2c_slave-0.4_VHDL

Description: I2C slave可以作動,請使用 如果有問題請再讓我知道,Thank you -I2C slave can be used for moving, use if there are problems please let me know, Thank you
Platform: | Size: 848896 | Author: mika | Hits:

[VHDL-FPGA-VerilogI2C_test

Description: FPGA EP2C5Q288C8 I2C 原码,测试OK 打开即用.-FPGA EP2C5Q288C8 I2C original code, test that is used to open OK.
Platform: | Size: 831488 | Author: kenychen | Hits:

[VHDL-FPGA-VerilogDocument

Description: I2C 控制器的 Verilog的PDF文件-I2C controller Verilog the PDF document
Platform: | Size: 187392 | Author: 杜丽丽 | Hits:

[VHDL-FPGA-VerilogTestFixture

Description: I2C 控制器的 Verilog测试源程序-I2C controller Verilog source test
Platform: | Size: 9216 | Author: 杜丽丽 | Hits:

[SCMIIC

Description: 与外部设备进行成功连接的完整I2C程序。This example describes a synthesizable implementation of a I2C. -With external devices connected successfully complete I2C procedures. This example describes a synthesizable implementation of a I2C.
Platform: | Size: 2048 | Author: | Hits:

[VHDL-FPGA-Verilogoc_i2c_master

Description: I2C core,经过验证可以在SOPC上运行的IP核-I2C core, verified SOPC can run on IP nuclear
Platform: | Size: 193536 | Author: zj | Hits:

[VHDL-FPGA-VerilogI2CTOVHDL

Description: I2C的VHDL程序。。测试没有问题 -I2C of VHDL procedures. . Test no question
Platform: | Size: 38912 | Author: 王鹏 | Hits:

[VC/MFCaltera_avalon_i2c

Description: i2c IP核 i2c.master i2c.mater.v-i2c IP core
Platform: | Size: 181248 | Author: zhengzhiqiang | Hits:

[Embeded-SCM Developi2c_core

Description: I2C core 及testbench(verilog)-I2C core and testbench [verilog]
Platform: | Size: 20480 | Author: xiaoheng | Hits:

[Embeded-SCM DevelopTheI2Cbus

Description: I2C总线协议 基于VHDL语言设计 需要可直接下载-The I2C bus protocols [VHDL]
Platform: | Size: 21504 | Author: gg | Hits:

[Embeded-SCM DevelopMYFX2

Description: usb cy7c68013开发板中CPLD的源代码-USB2.0-128P to restore the I2C settings dev_io
Platform: | Size: 2048 | Author: 桂霖 | Hits:

[VHDL-FPGA-VerilogI2Cslave1

Description: I2C slave for FPGA and CPLD.
Platform: | Size: 1024 | Author: DAVI | Hits:

[VHDL-FPGA-VerilogVerilogHDL_code

Description: 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees, etc..
Platform: | Size: 1603584 | Author: shsh | Hits:
« 1 2 3 4 56 7 8 9 10 ... 18 »

CodeBus www.codebus.net